使用ISE和Modelsim联合开发FPGA程序,包括源代码设计,功能仿真,时序仿真,下载调试等步骤。根据此文档,可以掌握使用ISE设计FPGA的整个流程。
2021-08-17 09:03:13 911KB FPGA
1
Altium Designer实用宝典—FPGA设计 [闫胜利 著][电子工业出版社][2008][344页]P
2021-08-15 18:32:38 59.55MB Altium FPGA eBook
1
fpga设计收敛,500强上市公司fpga设计timing要求
2021-08-15 18:01:41 1.84MB fpga verilog
1
AD9851扫描仪FPGA设计方案Verilog逻辑源码Quartus工程文件+设计说明文档资料 硬件需求: 1、 AD9851模块。 2、 扩展板2号一个。 3、 可以插AD9851模块和块展板2号的FPGA核心板一个。 设计规格: 4、 可以选择扫频输出,固定频率输出。 5、 固定频率输出要求输入频率固定。 6、 扫频输出要求输入扫频范围、频率步进值。 7、 固定频率最大输出为40Mhz,步进为100KHZ,要求频率输入位宽为12bit,最大输入为0Xfff,代表40Mhz。 8、 扫描时间要求<=2S。 AD9851特性: 1、 2、 相位通过W0的高5bit控制,精度为360/32=11.25度。 3、 频率通过频率控制字控制,32bit数值。 100Khz 控制字为 0.1Mhz*2的32次方/180Mhz = 2,386,092(16进制 24 68AC) 1Mhz 控制字为 1Mhz*2的32次方/180Mhz =23,860,929(16进制16C 16C1) 40Mhz 控制字为 40Mhz*2的32次方/180Mhz = 954,437,176(16进制 38E3 8E38) 4、 AD9851复位时序: module FREQ_SCAN ( //input input sys_clk , input sys_rst_n , input [3:0] key_row , output wire [3:0] key_col , input scan_mod , // use switch 0 , 0 is input freq, 1 is scan freq input scan_freq_step_set , // use switch 1 , 1 is set freq step input scan_freq_bound_set , // use switch 1 , 1 is set scan freq input scan_freq_bound_sel , // use switch 2 , 0 is min freq, 1 is max freq input key_is_done , // use key is ok, 0 is active input key_rst_req , // use key clear, 0 is active //output output reg ad9851_w_clk , output reg ad9851_fq_up , output reg ad9851_reset , output reg [7:0] ad9851_data ); //reg define reg [25:0] clk_cnt ; reg key_is_done_dly1 ; reg scan_freq_step_set_dly1 ; reg scan_freq_bound_set_dly1 ; reg scan_freq_bound_sel_dly1 ; reg [7:0] reset_cnt0 ; reg [7:0] write_cnt ; reg [31:0] key_input_lock ; reg [31:0] ctrl_word ; reg [31:0] scan_freq_ctrl_word ; reg [31:0] scan_freq_mi
《无线通信FPGA设计》PDF版本+书中代码,详细讲解了一些无线通信算法,并通过FPGA实现了这些功能,同时上传了书中的代码。
2021-08-10 10:18:12 10.82MB matlab FPGA
1
RS485接口收发测试FPGA读写实验Verilog逻辑源码Quartus工程文件+文档说明资料,FPGA为CYCLONE4系列中的EP4CE6E22C8. 完整的工程文件,可以做为你的学习设计参考。 实验任务和现象 任务:使用两块开发板,一块用作发送,一块用作接收。通过4个按键改变数据,并用LED将发送和接收的数据显示出来。 现象:两块开发板LED显示的效果一样,并且按下不同的按键,可以改变LED的显示,同时接收的板子接到数据后改变LED显示。 •5、设计思路 我们依旧采用串口的设计思路,设计程序,但因为 MAX485是半双工器件,所以需要接收和发送状态切换,有两个时能端,我们的开发板,将两个接到一起用一个引脚控制。 我们以串口发送为例: 以波特率9600为例子说明,波特率9600接收一个bit的时间为1s/9600=104us,即每隔104us发送一个数据。 module RS485_Revc ( input sys_clk , input sys_rst_n , input RO , output wire RE_DE , output reg [7:0] LED ); /***************reg define****************/ reg [7:0] buff ; reg [7:0] data_out ; reg [15:0] counter ; reg rxd_din1 ; //uart rxd input ; reg rxd_din2 ; //uart rxd input ; reg rxd_negedge_sig_flag ; /***************wire define***************/ wire rxd_negedge_sig ; /******************************************************************************************************* ** Main Program ** ********************************************************************************************************/ assign RE_DE = 1'b0; always @ (posedge sys_clk or negedge sys_rst_n) begin if ( sys_rst_n ==1'b0 ) begin rxd_din1 <= 1'b0; rxd_din2 <= 1'b0; end else begin rxd_din1 <= RO ; rxd_din2 <= rxd_din1; end end assign rxd_negedge_sig = (~rxd_din2) &(rxd_din1); always @ (posedge sys_clk or negedge sys_rst_n) begin if ( sys_rst_n ==1'b0) rxd_negedge_sig_flag <= 1'b0; else rxd_negedge_sig_flag <= rxd_negedge_sig; end always @ (posedge s
基于verilog的FPGA设计基础.pdf
2021-08-08 19:00:08 18.66MB FPGA设计基础
1
华为经典FPGA设计全套入门技巧
2021-08-07 22:30:57 8.37MB FPGA技术 华为FPGA
1
fpga设计参考书,各类学习FPGA的同学都可以运用。希望可以帮到各位
2021-08-02 12:23:33 163.23MB fpga参考书
1
之前上传了许多37个分卷,感觉大家下起来相当不方便,所以重新弄一个完整版的。。
2021-08-01 11:48:02 142B 无线通信 FPGA 设计 (田耘).
1