FPGA控制OLED液晶显示,实际上板子测试好用,开发平台quarterII13.0,硬件平台为EP4CE6E22C8。
2021-03-15 17:31:36 10.87MB FPGA IIC控制OLED液晶
1
USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用,共6个VERILOG module 模块文件,可以用于你的设计参考。 module FT245BM_test( input wire rst_in, //板上复位信号 input wire clk_in, //40M晶振 output wire rtl8208b_rst, //rtl8208B复位信号 //DVI接口 input wire pclk, input wire vs, input wire blank, input wire [7:0] rdata, input wire [7:0] gdata, input wire [7:0] bdata, //USB接口 input wire RXF_n, output wire RD_n, inout tri [7:0] usb_dd, input wire TXE_n, output wire WR, output reg [63:0] data_num, //SDRAM接口 /* output wire sa_clk, output wire [4:0] sa_cnt, output wire [3:0] sa_dqm, output wire [11:0] sa_addr, output wire [1:0] sa_bank, inout wire [31:0] sa_data,
FPGA控制DDR实现步骤与注意细节.7z
2021-02-25 18:00:47 1.11MB FPGA控制DDR实现步骤与注意
1
UART串口32路fpga开关控制电路protel99se原理图+封装,Verilog FPGA控制逻辑工程源码+说明文档资料,资料提供RPOTEL版原理图及PCB器件封装(项目中PCB为2层板,PCB版图不于提供) 系统主要硬件包括 1、核心部件为ALTERA公司的MAXII系列CPLD,型号为EPM1270T144C5,串口通信逻辑及系统功能都以VERILOG 语言实现,串口波特率为115200K 2、该硬件支持16路设备的测试,同时提供扩展接口,通用硬件级连可以实现32路设备的应用测试。 3、每一路设备对应一个模拟开关和一个共阳极红率双色LED灯,模拟开关来控制设备的上下电,双色LED灯
FE1.1 16路USB HUB FPGA控制板Protel 99se 设计硬件原理图PCB文件,包括完整的原理图PCB文件,板子大小为262x130mm,2层板。可用Protel或 Altium Designer(AD)软件打开或修改,已经制板验证使用,可作为你产品设计的参考。
2021-02-06 22:04:09 2.83MB FE1.1 16路USBHUB FPGA控制板 Protel99se设计
这是一个基于VGA显示 和 PS2键盘,用FPGA控制的贪吃蛇游戏,共设置9关,每关吃21个苹果过关。可按下P(Pause)暂停,暂停过程可以选关,按下G(GO_ON)继续游戏。全程记录分数以及关级。撞墙活撞身体则死!结束后按下空格键继续回到初始状态,否则按下其他键无效
2020-02-10 03:03:41 1.4MB verilog FPGA VGA 贪吃蛇
1
在FPGA上用两个状态机来控制LCD显示,可以显示汉字。本程序是我自己编写的,有一定的参考价值
2020-01-03 11:34:15 984KB LCD FPGA
1
AD99594路信号的产生,相频幅,均可自主控制,在xilinx系列S6下亲测通过编译
2019-12-26 03:01:19 1.29MB FPGA控制
1
FPGA控制超声波模块测距并通过数码管显示
2019-12-21 22:05:45 2.57MB FPGA 超声 波测距
1
一个用FPGA控制的呼吸灯源码,Verilog HDL编写
2019-12-21 22:02:57 336KB PWM
1