想学性能测试,没有练手项目怎么办?想学安全测试,没有练手项目怎么办?想学功能测试,没有练手项目怎么办?不要着急,现在就帮你解决这些问题。本课程讲解了在Windows系统测试环境和Linux系统测试环境下搭建练手项目,本课程以开源JEECMS项目为实例,并且屏蔽了前端验证码,可以对项目开展性能测试、压力测试、安全测试、功能测试等,非常适合性能测试爱好者、安全测试爱好者、功能测试爱好者进行实战演练。
如何制定软件项目测试计划.doc
2021-05-09 17:05:21 37KB 测试
项目测试的基本流程.docx
2021-04-27 09:00:29 13KB 测试类型 项目测试
1
讲解软件测试流程和软件测试技术,开源Selenium工具使用
2021-04-25 20:36:33 813KB Selenium 测试
1
基于hive的数据仓库测试如何开展?
2021-04-09 19:06:12 1.35MB 测试方法
1
USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用,共6个VERILOG module 模块文件,可以用于你的设计参考。 module FT245BM_test( input wire rst_in, //板上复位信号 input wire clk_in, //40M晶振 output wire rtl8208b_rst, //rtl8208B复位信号 //DVI接口 input wire pclk, input wire vs, input wire blank, input wire [7:0] rdata, input wire [7:0] gdata, input wire [7:0] bdata, //USB接口 input wire RXF_n, output wire RD_n, inout tri [7:0] usb_dd, input wire TXE_n, output wire WR, output reg [63:0] data_num, //SDRAM接口 /* output wire sa_clk, output wire [4:0] sa_cnt, output wire [3:0] sa_dqm, output wire [11:0] sa_addr, output wire [1:0] sa_bank, inout wire [31:0] sa_data,
web项目实训测试计划
2021-01-28 02:00:43 46KB 测试类型
1
web项目实训测试总结
2021-01-28 02:00:43 92KB 测试类型
1
软件项目测试用例.xls
2021-01-28 01:37:54 2.38MB 软件项目测试用例
1
测试计划Testing plan,描述了要进行的测试活动的范围、方法、资源和进度的文档;是对整个信息系统应用软件组装测试和确认测试。它确定测试项、被测特性、测试任.
2020-01-03 11:19:49 11KB 测试计划
1