> 在线考试系统分为3种角色,admin,teacher,student > > - admin负责整个系统的操作,包含题库管理,知识点管理,教师管理,考试管理,基础信息管理 > - teacher负责题库管理,考试管理,学生管理 > - student是系统的主要使用用户,包含考试系统,试卷回顾 项目数据库使用mysql,脚本文件在文件夹根目录下
网上招聘系统的设计与实现,包含俩个角色:个人和公司角色。本系统结构如下: 个人角色 (1)首页:显示个人可以申请职位的公司信息,实现了查询、申请职位功能 (2)个人信息修改:实现了对个人信息(简历)的修改 (3)下载简历:实现对简历的下载 (4)发布简历 (5)撤销简历 (6)消息通知:实现了对已申请公司是否通过功能 公司角色 (1)首页:显示所有申请公司职位的求职者信息 (2)公司信息修改:实现了对公司信息的修改 (3)发布招聘信息 (4)撤销招聘信息 (5)求职者通知:实现了对已申请公司职位的求职者是否通过功能
2021-03-04 18:41:14 8.69MB java B/S架构 Servlet Oracle
1
项目采用SpringBoot +Maven多模块开发,数据库使用mysql,数据库脚本文件在dorm-web/src/main/resources/sql文件夹中。可以自己部署到IDEA开发工具中运行。
人脸识别方法的设计与实现论文ppt,便于大家论文答辩时参考,内容简短精炼 人脸识别方法的设计与实现论文ppt,便于大家论文答辩时参考,内容简短精炼
2021-03-03 10:03:34 737KB 人脸识别 论文答辩
1
USB FT245BM_test fpga控制逻辑Verilog HDL源码文件,已在项目测试使用,共6个VERILOG module 模块文件,可以用于你的设计参考。 module FT245BM_test( input wire rst_in, //板上复位信号 input wire clk_in, //40M晶振 output wire rtl8208b_rst, //rtl8208B复位信号 //DVI接口 input wire pclk, input wire vs, input wire blank, input wire [7:0] rdata, input wire [7:0] gdata, input wire [7:0] bdata, //USB接口 input wire RXF_n, output wire RD_n, inout tri [7:0] usb_dd, input wire TXE_n, output wire WR, output reg [63:0] data_num, //SDRAM接口 /* output wire sa_clk, output wire [4:0] sa_cnt, output wire [3:0] sa_dqm, output wire [11:0] sa_addr, output wire [1:0] sa_bank, inout wire [31:0] sa_data,
EP1C6Q240 FPGA设计八口百兆单板扫描控制器PROTEL99SE设计硬件原理图+PCB+BOM+FPGA VERILOG源码文件,4层板设计,双面布局布线,已在项目中使用可以做为你的设计参考。
STM32F103_USI8686_MPU6050_HMC5883控制板Protel99se设计硬件原理图+PCB+ 软件源码文件,采用2层板设计,板子大小为90x90mm,单面布局双面布线,CPU为STM32103RBT6,LQFP64封装,主要芯片包括USI8686,MPU6050,HMC5883,XC6219 3.3等。
一、绪论 二、系统的分析 三、系统的总体设计统 四、数据库的设计 五、程序模块的界面设计 六、系统测试 结 论 参考文献 致 谢
STC12C5A60S2单片机控制led旋转的所有资料,包括ALTIUM原理图+8个KEIL软件工程源码文件